造價通
更新時間:2025.03.09
電氣細(xì)部節(jié)點做法2019.11.8(定稿)(5)

格式:pdf

大?。?span class="single-tag-height">14.8MB

頁數(shù): 29頁

1 北京 2022年冬奧會張家口賽區(qū)太子城冰雪小鎮(zhèn)項目 電氣工程施工工藝培訓(xùn) 編制人:李洋 中信建設(shè)有限責(zé)任公司 2019年 11月 8日 2 機電安裝工藝做法標(biāo)準(zhǔn)(電氣專業(yè)) 序 號 部 位 內(nèi)容 主要關(guān) 注點 質(zhì)量控制要求 /做法 實物效果圖 1 高 低 壓 配 電 室 接地干 線安裝 低壓配 電室室 內(nèi)設(shè)置 一圈明 扁鋼,方 便設(shè)備 放電和 接地 1、明扁鋼與接地裝置必 須相連,且不少于 2處。 2、所有金屬設(shè)備外殼, 設(shè)備型鋼基礎(chǔ)、低壓配 電柜的地排都應(yīng)與明扁 鋼相連。 3、刷漆(黃、綠相間色)。 2 水平明 扁鋼的 安裝 扁鋼黃 綠相間 的色標(biāo), 涮漆長 度、傾斜 方向、離 墻距離, 距地高 度統(tǒng)一。 1、明裝扁鋼三邊施焊、 無漏焊,焊縫飽滿,焊 渣及時去除。 2、明裝扁鋼采用黃綠相 間的調(diào)和漆分段 (100mm 寬)涂涮。 3、明裝扁鋼離墻 10-15mm,底邊距地 500mm

8.19電梯控制器程序設(shè)計與仿真

格式:pdf

大?。?span class="single-tag-height">659KB

頁數(shù): 9頁

電梯控制器 VHDL程序與仿真。 -- --文件名: dianti.vhd。 --功能: 6 層樓的電梯控制系統(tǒng)。 --最后修改日期: 2004.4.12。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --時鐘信號(頻率為 2Hz) full,deng,quick,clr : in std_logic; --超載、關(guān)門中斷、提前關(guān)門清除報警信號 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --電梯外人的上升請求信號 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic

相關(guān)問答

電氣問題8.19-5
點擊加載更多>>
專題概述
電氣問題8.19-5相關(guān)專題

分類檢索: