轉(zhuǎn)換選擇器

轉(zhuǎn)換選擇器change-over selector
按承載電流但不按接通或開斷電流設(shè)計的一種裝置。它與分接選擇器或選擇開關(guān)配合使用。當(dāng)從一個極限位置到另一個極限位置時,能使分接選擇器或選擇開關(guān)的觸頭和接于其上的分接頭不止一次地被使用。

轉(zhuǎn)換選擇器基本信息

中文名 轉(zhuǎn)換選擇器 外文名 Conversion selector

轉(zhuǎn)換選擇器change-over selector

按承載電流但不按接通或開斷電流設(shè)計的一種裝置。它與分接選擇器或選擇開關(guān)配合使用。當(dāng)從一個極限位置到另一個極限位置時,能使分接選擇器或選擇開關(guān)的觸頭和接于其上的分接頭不止一次地被使用。

中文名稱
轉(zhuǎn)換選擇器
英文名稱
change-over selector
定  義
按承載電流但不按接通或開斷電流設(shè)計的一種裝置。它與分接選擇器或選擇開關(guān)配合使用。當(dāng)從一個極限位置到另一個極限位置時,能使分接選擇器或選擇開關(guān)的觸頭和接于其上的分接頭不止一次地被使用。
應(yīng)用學(xué)科
電力(一級學(xué)科),變電(二級學(xué)科)

轉(zhuǎn)換選擇器造價信息

市場價 信息價 詢價
材料名稱 規(guī)格/型號 市場價
(除稅)
工程建議價
(除稅)
行情 品牌 單位 稅率 供應(yīng)商 報價日期
分機選擇器 品種:分機選擇器,型號:L8-5005-5101 查看價格 查看價格

leelen

13% 廈門立林科技有限公司長沙辦事處
節(jié)目選擇器 BDS-3000 查看價格 查看價格

13% 廣州市貝迪聲音響科技有限公司
通道選擇器 DCN-FCS-DN 查看價格 查看價格

博世

13% 北京益泰牡丹電子工程有限責(zé)任公司
前置選擇器 型號:Pmm1549;品種:前置選擇器;類別:10000分區(qū)智能化系統(tǒng)(50路輸入、10000路輸出;輸入域輸出帶DSP音效處理、最多可以 查看價格 查看價格

迪士普

13% 廣州銘國信息科技有限公司
通道選擇器 DCN-FCS-CN 查看價格 查看價格

博世

13% 北京益泰牡丹電子工程有限責(zé)任公司
主機選擇器 品種:選擇器;型號:HY-SL; 查看價格 查看價格

安居寶

13% 杭州丘引科技有限公司
通道選擇器 DCN-FCS(NG) 查看價格 查看價格

13% 上海金橋信息工程有限公司成都分公司
分區(qū)選擇器 DH1 查看價格 查看價格

視得安

13% 深圳市視得安羅格朗電子股份有限公司廣西辦事處
材料名稱 規(guī)格/型號 除稅
信息價
含稅
信息價
行情 品牌 單位 稅率 地區(qū)/時間
數(shù)字調(diào)諧 SONY F-208 查看價格 查看價格

深圳市2003年1月信息價
吸頂式揚聲 TOA YA-706 查看價格 查看價格

深圳市2003年1月信息價
多線廣播控制 (16路)含MP3 查看價格 查看價格

湛江市2022年3季度信息價
多線廣播控制 (8路)含MP3 查看價格 查看價格

湛江市2022年1季度信息價
多線廣播控制 (24路)含MP3 查看價格 查看價格

湛江市2022年1季度信息價
多線廣播控制 (8路)含MP3 查看價格 查看價格

湛江市2021年2季度信息價
多線廣播控制 (16路)含MP3 查看價格 查看價格

湛江市2021年2季度信息價
多線廣播控制 (24路)含MP3 查看價格 查看價格

湛江市2021年1季度信息價
材料名稱 規(guī)格/需求量 報價數(shù) 最新報價
(元)
供應(yīng)商 報價地區(qū) 最新報價時間
分區(qū)選擇器 1、名稱:分區(qū)選擇器2、規(guī)格:兩功放輸入、十分區(qū)輸出|1套 1 查看價格 廣州市保倫電子有限公司 廣東  佛山市 2020-11-19
HDMI視頻選擇器 HDMI三進一出切換2.0版選擇器 4K60Hz4K高清視頻|1臺 1 查看價格 廣州賽瑞電子有限公司 廣東  中山市 2022-09-27
節(jié)目選擇器 -|1臺 3 查看價格 廣州市銳志聲光科技有限公司 廣東  深圳市 2018-12-07
分區(qū)選擇器 DH1|4803臺 1 查看價格 深圳市視得安羅格朗電子股份有限公司 廣東  深圳市 2015-11-27
聯(lián)網(wǎng)選擇器 參照國標(biāo)或行業(yè)標(biāo)準|9臺 1 查看價格 廈門立林科技有限公司南寧公司 廣西  南寧市 2015-11-23
通道選擇器 DCN-FCS-DN|1271臺 1 查看價格 北京益泰牡丹電子工程有限責(zé)任公司 廣東  廣州市 2015-04-20
分區(qū)選擇器 2路輸入(A和B),當(dāng)有警報信號輸入時,相應(yīng)通道自動切換到通道B. 10通道輸出,可任意選通,有強行插入功能.電源 AC 220-240V/50-60Hz|1臺 3 查看價格 廣東易初線纜科技有限公司 廣東   2020-08-28
分區(qū)選擇器 DH1(片區(qū)交換機,可縮短聯(lián)網(wǎng)距離)|1個 1 查看價格 深圳市視得安羅格朗電子股份有限公司 廣東  深圳市 2016-10-21

轉(zhuǎn)換選擇器常見問題

  • 如何用8選1數(shù)字選擇器和門電路設(shè)計64選1數(shù)字選擇器?

    這種題目,原理其實很簡單,但是真要連接,卻又很麻煩,芯片太多了,連線也多,畫起來有點麻煩,這種題目真是很奇葩,實際應(yīng)用能這么做嗎?你說的意思差不多,8個8選1并聯(lián),其實就第一級完成64選1,選出8個數(shù)...

  • 什么叫AD轉(zhuǎn)換器,什么叫DA轉(zhuǎn)換器

    AD,DA中的A指模擬信號,D指數(shù)字信號,ADC指模擬信號到數(shù)字信號轉(zhuǎn)換器,把電壓值電流值轉(zhuǎn)換成二進制碼,DAC指數(shù)字信號到模擬信號轉(zhuǎn)換器,把二進制碼轉(zhuǎn)換成電壓電流

  • 出國電源轉(zhuǎn)換器要怎么選擇?

    日本是110V的,只有買轉(zhuǎn)換插頭的   要是想轉(zhuǎn)換電壓   就要買變壓器。但是沒這個必要。因為國內(nèi)是220V,帶到日本   只可能是 &nb...

轉(zhuǎn)換選擇器文獻

GB15092.3-1998器具開關(guān)轉(zhuǎn)換選擇器的特殊要求 GB15092.3-1998器具開關(guān)轉(zhuǎn)換選擇器的特殊要求

格式:pdf

大?。?span id="um6uvyb" class="single-tag-height">1.2MB

頁數(shù): 6頁

評分: 4.4

GB15092.3-1998器具開關(guān)轉(zhuǎn)換選擇器的特殊要求

立即下載
數(shù)據(jù)選擇器及數(shù)據(jù)分配器 數(shù)據(jù)選擇器及數(shù)據(jù)分配器

格式:pdf

大小:1.2MB

頁數(shù): 20頁

評分: 4.4

數(shù)據(jù)選擇器及數(shù)據(jù)分配器

立即下載

多路選擇器常見分類有4選1數(shù)據(jù)選擇器(見下圖)、8選1數(shù)據(jù)選擇器(型號為74151、74LS151、74251、74LS152)、16選1數(shù)據(jù)選擇器(可以用兩片74151連接起來構(gòu)成)等之分。多路選擇器還包括總線的多路選擇,模擬信號的多路選擇等,相應(yīng)的器件也有不同的特性和使用方法.具體可以查找相關(guān)網(wǎng)站。

VHDL語言

16位的多路選擇器,其功能是能夠試16位的信號同時附加到data1和data2上。

其VHDL語言如下:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity selc is

port(s:in std_logic_vector(1 downto 0);

data:in std_logic_vector(15 downto 0);

data1:out std_logic_vector(15 downto 0);

e: out std_logic;

data2:out std_logic_vector(15 downto 0));

end selc;

architecture fun of selc is

begin

process(s)

begin

case s is

when "00" => data1<=data;e<='1';

when "01" => data2<=data;e<='1';

when others => null;

end case;

end process;

end fun; 解讀詞條背后的知識 老驥伏櫪學(xué)編程 少兒編程啟蒙,一種另類的學(xué)51單片機編程。

零基礎(chǔ)學(xué)51單片機(五):多路開關(guān)狀態(tài)顯示程序(適應(yīng)于搶答器)

今天我們學(xué)習(xí)的內(nèi)容是:用積木拼接搭建成一個多路開關(guān)狀態(tài)顯示的程序。以我們單片機上的獨立按鍵為例:假設(shè)我們鍵盤上的 S2 S3 S4 S5 四個獨立按鍵為我們生活中的四個開關(guān)。我們的目的,就是哪個鍵先按下就顯示哪個的對應(yīng)的編號,并且點亮相應(yīng)的指示燈。上期回顧:零基礎(chǔ)學(xué)51單片...

2020-03-180閱讀39

路由選擇器簡稱路由器,是比網(wǎng)橋還要復(fù)雜的端口設(shè)備,外形多樣,但共同的一點是路由器是獨立的設(shè)備,不像網(wǎng)橋或網(wǎng)卡一類可以寄生于某臺微機。進行遠程連接時,無論路由器還是網(wǎng)橋,都需要與調(diào)制解調(diào)器配合使用,它有更強的檢查和指導(dǎo)載波電路的能力。

也可以說任何負責(zé)決定網(wǎng)絡(luò)(網(wǎng)際)幾條通路中沿哪一條傳送信息流的機器都可以稱作路由選擇器。在最低層,一個物理網(wǎng)絡(luò)橋就是一個路由選擇器,因為它決定是否將報文分組從一條物理線傳到另一條物理線。在遠程網(wǎng)絡(luò)中,每個單獨的報文分組單獨選擇路由。在網(wǎng)際中,每個IP網(wǎng)關(guān)就是一個路由器,因它使用IP報宿地址選擇路由。

路由選擇器工作在網(wǎng)絡(luò)層,它可以實現(xiàn)不同類型網(wǎng)絡(luò)的互連。路由器作為網(wǎng)絡(luò)互連設(shè)備,它既包含硬件設(shè)備,又包含互聯(lián)網(wǎng)協(xié)議的軟件系統(tǒng)模塊和相應(yīng)的轉(zhuǎn)換模塊。

轉(zhuǎn)換選擇器相關(guān)推薦
  • 相關(guān)百科
  • 相關(guān)知識
  • 相關(guān)專欄

最新詞條

安徽省政采項目管理咨詢有限公司 數(shù)字景楓科技發(fā)展(南京)有限公司 懷化市人民政府電子政務(wù)管理辦公室 河北省高速公路京德臨時籌建處 中石化華東石油工程有限公司工程技術(shù)分公司 手持無線POS機 廣東合正采購招標(biāo)有限公司 上海城建信息科技有限公司 甘肅鑫禾國際招標(biāo)有限公司 燒結(jié)金屬材料 齒輪計量泵 廣州采陽招標(biāo)代理有限公司河源分公司 高鋁碳化硅磚 博洛尼智能科技(青島)有限公司 燒結(jié)剛玉磚 深圳市東海國際招標(biāo)有限公司 搭建香蕉育苗大棚 SF計量單位 福建省中億通招標(biāo)咨詢有限公司 泛海三江 威海鼠尾草 廣東國咨招標(biāo)有限公司 Excel 數(shù)據(jù)處理與分析應(yīng)用大全 甘肅中泰博瑞工程項目管理咨詢有限公司 山東創(chuàng)盈項目管理有限公司 當(dāng)代建筑大師 廣西北纜電纜有限公司 拆邊機 大山檳榔 上海地鐵維護保障有限公司通號分公司 甘肅中維國際招標(biāo)有限公司 舌花雛菊 華潤燃氣(上海)有限公司 湖北鑫宇陽光工程咨詢有限公司 GB8163標(biāo)準無縫鋼管 中國石油煉化工程建設(shè)項目部 韶關(guān)市優(yōu)采招標(biāo)代理有限公司 莎草目 建設(shè)部關(guān)于開展城市規(guī)劃動態(tài)監(jiān)測工作的通知 電梯平層準確度 廣州利好來電氣有限公司 蘇州弘創(chuàng)招投標(biāo)代理有限公司